Show simple item record

dc.contributor.advisorSrikant, Y N
dc.contributor.authorNagpal, Rahul
dc.date.accessioned2005-11-25T10:56:07Z
dc.date.accessioned2018-07-31T04:39:00Z
dc.date.available2005-11-25T10:56:07Z
dc.date.available2018-07-31T04:39:00Z
dc.date.issued2005-11-25T10:56:07Z
dc.date.submitted2003
dc.identifier.urihttps://etd.iisc.ac.in/handle/2005/168
dc.identifier.srnonull
dc.description.abstractClustered architecture processors are preferred for embedded systems because centralized register file architectures scale poorly in terms of clock rate, chip area, and power consumption. Scheduling for clustered architectures involves spatial concerns (where to schedule) as well as temporal concerns (when to schedule). Various clustered VLIW configurations, connectivity types, and inter-cluster communication models present different performance trade-offs to a scheduler. The scheduler is responsible for resolving the conflicting requirements of exploiting the parallelism offered by the hardware and limiting the communication among clusters to achieve better performance. Earlier proposals for cluster scheduling fall into two main categories, viz., phase-decoupled scheduling and phase-coupled scheduling and they focus on clustered architectures which provide inter-cluster communication by an explicit inter-cluster copy operation. However, modern commercial clustered architectures provide snooping capabilities (apart from the support for inter-cluster communication using an explicit MV operation) by allowing some of the functional units to read operands from the register file of some of the other clusters without any extra delay. The phase-decoupled approach of scheduling suffers from the well known phase-ordering problem which becomes severe for such a machine model (with snooping) because communication and resource constraints are tightly coupled and thus are exposed only during scheduling. Tight integration of communication and resource constraints further requires taking into account the resource and communication requirements of other instructions ready to be scheduled in the current cycle while binding an instruction, in order to carry out effective binding. However, earlier proposals on integrated scheduling consider instructions and clusters for binding using a fixed order and thus they show different widely varying performance characteristics in terms of execution time and code size. Other shortcomings of earlier integrated algorithms (that lead to suboptimal cluster scheduling decisions) are due to non-consideration of future communication (that may arise due to a binding) and functional unit binding. In this thesis, we propose a pragmatic scheme and also a generic graph matching based framework for cluster scheduling based on a generic and realistic clustered machine model. The proposed scheme effectively utilizes the exact knowledge of available communication slots, functional units, and load on different clusters as well as future resource and communication requirements known only at schedule time to attain significant performance improvement without code size penalty over earlier algorithms. The proposed graph matching based framework for cluster scheduling resolves the phase-ordering and fixed-ordering problem associated with scheduling on clustered VLIW architectures. The framework provides a mechanism to exploit the slack of instructions by dynamically varying the freedom available in scheduling an instruction and hence the cost of scheduling an instruction using different alternatives to reduce the inter-cluster communication. An experimental evaluation of the proposed framework and some of the earlier proposals is presented in the context of a state-of-art commercial clustered architecture.en
dc.format.extent4249258 bytes
dc.format.mimetypeapplication/postscript
dc.language.isoen
dc.publisherIndian Institute of Scienceen
dc.rightsI grant Indian Institute of Science the right to archive and to make available my thesis or dissertation in whole or in part in all forms of media, now hereafter known. I retain all proprietary rights, such as patent rights. I also retain the right to use in future works (such as articles or books) all or part of this thesis or dissertation.en
dc.subject.classificationComputer and Information Scienceen
dc.subject.keywordInstruction Schedulingen
dc.subject.keywordClustered VLIW Architectureen
dc.subject.keywordClustered VLIW Processorsen
dc.subject.keywordClustered VLIW Configurationen
dc.subject.keywordClustered Architecturesen
dc.subject.keywordCluster Schedulingen
dc.subject.keywordSpatial Schedulingen
dc.subject.keywordInter-cluster Communicationen
dc.subject.keywordMicroprocessor - Schedulingen
dc.titleIntegrated Scheduling For Clustered VLIW Processorsen
dc.typeElectronic Thesis and Dissertationen
dc.degree.nameMSc Engg.en
dc.degree.levelMastersen
dc.degree.grantorIndian Institute of Scienceen
dc.degree.disciplineFaculty of Engineeringen


Files in this item

This item appears in the following Collection(s)

Show simple item record