Show simple item record

dc.contributor.advisorAmrutur, Bharadwaj
dc.contributor.authorViveka, K R
dc.date.accessioned2017-11-29T16:17:18Z
dc.date.accessioned2018-07-31T04:49:03Z
dc.date.available2017-11-29T16:17:18Z
dc.date.available2018-07-31T04:49:03Z
dc.date.issued2017-11-29
dc.date.submitted2016
dc.identifier.urihttps://etd.iisc.ac.in/handle/2005/2834
dc.identifier.abstracthttp://etd.iisc.ac.in/static/etd/abstracts/3685/G27232-Abs.pdfen_US
dc.description.abstractThe ever expanding range of applications for embedded systems continues to offer new challenges (and opportunities) to chip manufacturers. Applications ranging from exciting high resolution gaming to routine tasks like temperature control need to be supported on increasingly small devices with shrinking dimensions and tighter energy budgets. These systems benefit greatly by having the capability to operate over a wide range of supply voltages, known as ultra dynamic voltage scaling (U-DVS). This refers to systems capable of operating from nominal voltages down to sub-threshold voltages. Memories play an important role in these systems with future chips estimated to have over 80% of chip area occupied by memories. This thesis presents the design and characterization of an ultra dynamic voltage scalable memory (SRAM) that functions from nominal voltages down to sub-threshold voltages without the need for external support. The key contributions of the thesis are as follows: 1) A variation tolerant reference generation for single ended sensing: We present a reference generator, for U-DVS memories, that tracks the memory over a wide range of voltages and is tunable to allow functioning down to sub-threshold voltages. Replica columns are used to generate the reference voltage which allows the technique to track slow changes such as temperature and aging. A few configurable cells in the replica column are found to be sufficient to cover the whole range of voltages of interest. The use of tunable delay line to generate timing is shown to help in overcoming the effects of process variations. 2) Random-sampling based tuning algorithm: Tuning is necessary to overcome the in-creased effects of variation at lower voltages. We present an random-sampling based BIST tuning algorithm that significantly speed-up the tuning ensuring that the time required to tune is comparable to a single MBIST algorithm. Further, the use of redundancy after delay tuning enables maximum utilization of redundancy infrastructure to reduce power consumption and enhance performance. 3) Testing and Characterization for U-DVS systems: Testing and characterization is an important challenge in U-DVS systems that have remained largely unexplored. We propose an iterative technique that allows realization of an on-chip oscilloscope with minimal area overhead. The all digital nature of the technique makes it simple to design and implement across technology nodes. Combining the proposed techniques allows the designed 4 Kb SRAM array to function from 1.2 V down to 310 mV with reads functioning down to 190 mV. This would contribute towards moving ultra wide voltage operation a step closer towards implementation in commercial designs.en_US
dc.language.isoen_USen_US
dc.relation.ispartofseriesG27232en_US
dc.subjectUltra Dynamic Voltage Scalable Systemen_US
dc.subjectSRAM Array Designen_US
dc.subjectU-DVS Systemsen_US
dc.subjectU-DVS SRAM Designen_US
dc.subjectSRAM Array Designen_US
dc.subjectUltra-Low Voltage Systemsen_US
dc.subjectUltra Dynamic Voltage Scalable Memoryen_US
dc.subjectTuningen_US
dc.subjectSRAMsen_US
dc.subjectSRAM Sense Amplifiersen_US
dc.subject.classificationCommunication Engineeringen_US
dc.titleDesign and Characterization of SRAMs for Ultra Dynamic Voltage Scalable (U-DVS) Systemsen_US
dc.typeThesisen_US
dc.degree.namePhDen_US
dc.degree.levelDoctoralen_US
dc.degree.disciplineFaculty of Engineeringen_US


Files in this item

This item appears in the following Collection(s)

Show simple item record